Sunday, April 7, 2013

CODE : DLATCH VHDL

This code is just an example for more detailed understanding of VHDL concepts I would recommend these two books :

1) A VHDL PRIMER by J. Bhasker - A VHDL PRIMER from flipkart.com
A VHDL PRIMER from amazon.com
A VHDL PRIMER from amazon.in

2)VHDL:PROGRAMMING BY EXAMPLES by PERRY - VHDL:PROGRAMMING BY EXAMPLES by PERRY from flipkart.com
VHDL:PROGRAMMING BY EXAMPLES by PERRY from amazon.com
VHDL:PROGRAMMING BY EXAMPLES by PERRY from amazon.in


CODE  : DLATCH

----------------------------------------------------------------------------------
-- Company:
-- Engineer:
-- Create Date:    20:43:29 10/31/2006
-- Design Name:
-- Module Name:    dlatch_code - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity dlatch_code is
            Port(din,clk:in std_logic;
                          En,rst: in std_logic;
                        Q: out std_logic);      
end dlatch_code;

architecture Behavioral of dlatch_code is
begin
process(En,Din,rst,clk)
begin
if(rst='1')then
            Q<='0';
elsif(clk='1' and En='1') then
            Q<=Din;
end if;
end process;
end Behavioral;

No comments:

Post a Comment